CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - sine verilog

搜索资源列表

  1. CORDIC

    1下载:
  2. 用verilog写的CORDIC算法实现,很适合做超越函数的运算。通常用于实现正弦乘法,或者坐标变换。-The cordic arithmetic implemented by verilog is adapted to exceed function.It is usually used to implement sine multiplication or coordinate tuansform.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4108
    • 提供者:diskmps
  1. 8bitsine

    0下载:
  2. 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:5161
    • 提供者:王刚
  1. DDS_Power

    0下载:
  2. FPGA上的VERILOG语言编程。通过查找表实现直接数字频率合成。在主控部分通过键盘选择正弦波,方波,三角波,斜波,以及四种波形的任意两种的叠加,以及四种波形的叠加;通过控制频率控制字C的大小,以控制输出波形频率,实现1Hz的微调;通过地址变换实现波形相位256级可调;通过DAC0832使波形幅值256级可调;通过FPGA内部RAM实现波形存储回放;并实现了每秒100HZ扫频。-FPGA on the verilog language programming. Lookup table thr
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:16232
    • 提供者:田世坤
  1. magnitude

    0下载:
  2. Verilog HDL: Magnitude For a vector (a,b), the magnitude representation is the following: A common approach to implementing these arithmetic functions is to use the Coordinate Rotation Digital Computer (CORDIC) algorithm. The CORDIC algori
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:12917
    • 提供者:郝晋
  1. DDS+51

    0下载:
  2. 本程序功能: DDS文件夹内的程序,完成直接数字频率合成功能,有正弦,三角,方波三种波形,并能扫频. 可通过键盘操作设置频率参数和选择波形种类和控制运行. 由两部分组成,\"C\"文件夹内,是用于在 51 单片机上运行的 C语言程序, \"Verilog\"文件夹内,是用Verilog语言编写的 FPGA 程序.-this program functions : DDS folder procedures, complete direct digital frequency s
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1027313
    • 提供者:吴健
  1. vhdl

    1下载:
  2. 本设计中应用硬件描述语言Verilog HDL描述相位累加器,相位调制器,正弦波、方波、三角波、心电波形四个独立的波形存储器,并描述频率控制、相位控字、幅度控制单元及波形切换等相关的功能单元。-Application of the design described in Verilog HDL hardware descr iption language phase accumulator, phase modulator, sine, square, triangle wave, the fo
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-03
    • 文件大小:4254
    • 提供者:kelly
  1. Cordic_SinCos_Verilog

    0下载:
  2. 用Verilog语言写的Cordic来计算,正、余弦函数,包括仿真激励和仿真截图。-use Cordic to compute sine and cosine function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:713071
    • 提供者:孙佳宇
  1. DDS

    0下载:
  2. 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1682936
    • 提供者:
  1. VHDL_sin

    0下载:
  2. VHDL与Verilog示例(六) 8bit采样sine波形发生-VHDL and Verilog examples (f) 8bit sampling sine wave occurred
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2326
    • 提供者:
  1. dds_var

    0下载:
  2. 自己写的一个简单的DDS控制器,此程序包包含完整的VERILOG写的程序,操作有点简单,输出正弦波,方波,锯齿波,通过键盘可以选择输出波形,与大家共享-To write a simple DDS controller, this package contains a complete program written in VERILOG, a bit simple to operate, the output sine wave, square wave, sawtooth, through t
  3. 所属分类:SCM

    • 发布日期:2017-04-09
    • 文件大小:1185205
    • 提供者:deng
  1. SOU

    0下载:
  2. 这是用C写的正弦函数定点数据生成代码,内容是生成verilog中RAM或者ROM和Matlab处理时的所用的数据。-It is written with C fixed-point data generate code sine function, the content is generated verilog RAM or ROM, and Matlab in the processing of the data used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:716
    • 提供者:wolly
  1. sin_50Hz

    0下载:
  2. 基于FPGA的50Hz的正弦波的产生,verilog语言-FPGA-based 50Hz sine wave generation, verilog language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:2046
    • 提供者:王辉
  1. MY-DDS

    0下载:
  2. 利用altera公司的FPGA使用verilog语言实现DDS功能 外加DA 可将数字信号转换成标准正弦信号-Altera FPGA use verilog language of DDS functions plus DA converts digital signals into a standard sine signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:1305600
    • 提供者:李枫
  1. cordic

    0下载:
  2. 用verilog实现的一个基于流水线结构的正余弦信号发生器,六级流水线-Verilog realize a pipeline structure of the sine and cosine signal generator , six pipeline
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1377
    • 提供者:郭良谦
  1. dds1

    0下载:
  2. 用ALTERA 公司的fpga芯片,编程语言是VerilogHDL,实现DDS数字信号发生器,可以产生正弦信号,三角信号,矩形信号。-ALTERA company fpga chip, programming languages, Verilog HDL, to achieve the DDS digital signal generator, can generate sine signal, triangle signal, rectangular signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1577200
    • 提供者:郭晨
  1. fankuizhendang

    0下载:
  2. 本程序是基于verilog HDL语言设计的反馈震荡电路的程序。其构成的电路叫振荡电路。能将直流电转换为具有一定频率交流电信号输出的电子电路或装置。种类很多,按振荡激励方式可分为自激振荡器、他激振荡器;按电路结构可分为阻容振荡器、电感电容振荡器、晶体振荡器、音叉振荡器等;按输出波形可分为正弦波、方波、锯齿波等振荡器。-This program is a feedback oscillator circuit design based on Verilog HDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:90627
    • 提供者:李炳旭
  1. verilog_sine-wave-generator

    0下载:
  2. verilog语言书写的基于DDS相频累加器的正弦波发生器-verilog language of the sine wave generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:13215
    • 提供者:任健铭
  1. sin

    0下载:
  2. 能够实现正弦波的输出以及通过频率控制字与相位控制字控制正弦波的相位与频率。(The output of the sine wave can be realized and the phase and frequency of the sine wave can be controlled by two control words.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-21
    • 文件大小:3072
    • 提供者:BCQC
  1. sincos

    0下载:
  2. 实现正余弦函数Verilog语言的生成...............(sine wave generator by using verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-03
    • 文件大小:774144
    • 提供者:zhangchaoruo
  1. A4_Da_Top

    1下载:
  2. 利用AD、DA和VGA三个外设来实现简易示波器,DA外设发送正弦波给AD外设,AD外设解析成数字信号将数据送给VGA外设进行显示。在VGA上可以看到DA外设发送的波形、波形频率和波形峰峰值。(The simple oscilloscope is realized by using AD, DA and VGA peripherals. The DA peripheral sends sine wave to the AD peripheral, and the AD peripheral res
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-01
    • 文件大小:3430400
    • 提供者:电子鱼
« 1 2 34 5 »
搜珍网 www.dssz.com